Skip to main content
U.S. flag

An official website of the United States government

Official websites use .gov
A .gov website belongs to an official government organization in the United States.

Secure .gov websites use HTTPS
A lock ( ) or https:// means you’ve safely connected to the .gov website. Share sensitive information only on official, secure websites.

Search Publications

NIST Authors in Bold

Displaying 1051 - 1075 of 2125

Semantic B2B-integration Using an Ontological Message Metamodel

September 1, 2010
Author(s)
Marko Vujasinovic, Nenad Ivezic, Edward J. Barkmeyer Jr., Zoran Marjanovic
E-Business applications are often required to use different, incompatible, message sets to implement message interfaces for a business-to-business (B2B) communication. This makes communication with every new partner a new interoperability problem. In this

Framework and Indicators for a Sustainable Manufacturing Mapping Methodology

August 31, 2010
Author(s)
Marja Paju, Juhani Heilala, Markku Hentula, Antti Heikkila, Bjorn Johansson, Swee K. Leong, Kevin W. Lyons
Increasing numbers of companies in the manufacturing industry have identified market potential for implementing sustainable and green manufacturing. Yet, current sustainability assessment tools for companies are complicated, requiring vast amounts of data

OntoSTEP: Enriching Product Model Data Using Ontologies

August 31, 2010
Author(s)
Raphael Barbau, Sylvere I. Krima, Xenia Fiorentini, Sudarsan Rachuri, Anantha Narayanan Narayanan, Sebti Foufou, Ram D. Sriram
The representation and management of product lifecycle information is critical to the success of any manufacturing organization. Different modeling languages are adopted to represent different product information, for example EXPRESS for geometry as seen

System Testing Using Use Cases for Simulation Model of an Emergency Room

August 31, 2010
Author(s)
Guodong Shao
Modeling and simulation (M&S) techniques are increasingly being used to solve problems and aid decision making in many different fields. It is particularly useful for Department of Homeland Security (DHS) applications because of its feature of non

AFM characterization of nanopositioner in-plane stiffnesses

August 19, 2010
Author(s)
Seung Ho Yang, Yong Sik Kim, Premsagar P. Kavuri, Jae M. Yoo, Young M. Choi, Nicholas G. Dagalakis
A versatile method for measurement of in-plane stiffness of micro-elements was developed and its usefulness has been demonstrated. The in-plane stiffness of a NIST nanopositioner has been measured directly using a colloidal probe in an AFM without any

NEW Scanning Electron Microscope Magnification Calibration Reference Material (RM) 8820

August 19, 2010
Author(s)
Michael T. Postek, Andras Vladar, William J. Keery, Michael Bishop, Benjamin Bunday, John Allgair
Reference Material 8820 (RM 8820) is a new scanning electron microscope calibration reference material for nanotechnology and nanomanufacturingtion recently released by NIST. This standard was developed to be used primarily for X and Y scale (or

MTCONNECT-BASED KAIZEN FOR MACHINE TOOL PROCESSES

August 18, 2010
Author(s)
Byeong Eon Lee, John L. Michaloski, Frederick M. Proctor, Sid Venkatesh, Nils Bengtsson
Kaizen is a part of Lean Manufacturing that focuses on the concept of continuous improvement to reduce waste. For implementing Kaizen on the factory floor, comprehensive and efficient tools for data acquisition, process measurement and analysis are

Embedded Capacitive Displacement Sensor for Nanopositioning Applications

August 17, 2010
Author(s)
Svetlana Avramov-Zamurovic1, Nicholas Dagalakis, Rae Duk Lee, Yong Sik Kim, Jae M. Yoo, Seung H. Yang
The scale of nano objects requires very precise position determination. The state-of-the-art manipulators involve accurate nanometer positioning. This paper presents the design of a capacitive displacement sensor for a nanopositioning application. The

Ontological Product Modeling for Collaborative Design

July 22, 2010
Author(s)
Conrad E. Bock, XuanFang Zha, Hyo-won Suh, Jae H. Lee
This paper shows how to combine ontological and model-based techniques in languages that facilitate collaborative design exploration. The proposed approach uses ontology to capture alternative designs and incremental refinements that meet requirements and

Reference Material (RM) 8820: A Versatile New NIST Standard for Nanometrology

July 19, 2010
Author(s)
Michael T. Postek, Andras Vladar, William J. Keery, Michael R. Bishop, Benjamin Bunday, John Allgair
A new multipurpose instrument calibration standard has been released by NIST. This standard was developed to be used primarily for X and Y scale (or magnifi cation) calibrations of scanning electron microscopes from less than 10 times magnification to more

Choosing test positions for laser tracker evaluation and future Standards development

July 15, 2010
Author(s)
Balasubramanian Muralikrishnan, Daniel S. Sawyer, Christopher J. Blackburn, Steven D. Phillips, Craig M. Shakarji, Ed Morse, Robert Bridges
A working group within the ISO TC 213 committee is developing a draft document [11] for evaluating the performance of laser trackers. The ASME B89.4.19 Standard [1] and the draft VDI/VDE 2617 part 10 [2] describe some useful tests that are incorporated

2009 Performance Metrics for Intelligent Systems (PerMIS'09) Workshop

July 9, 2010
Author(s)
Rajmohan Madhavan, Elena R. Messina
The Performance Metrics for Intelligent Systems (PerMIS) workshop is dedicated to defining measures and methodologies of evaluating performance of intelligent systems. The main theme of the ninth iteration of the workshop, PerMIS'09, addressed the question

High-voltage Nanoimprint Lithography of Refractory Metal Film

July 1, 2010
Author(s)
John A. Dagata, Natalia Farkas, R Ramsier
Local oxidation of metal, semiconductor, and polymer surfaces has provided a common basis from which to explore fundamental principles of nanolithography and prototype functional nanostructures for many years now. This article summarizes an investigation

Developing a Sustainability Manufacturing Maturity Model

June 30, 2010
Author(s)
Mahesh Mani
Sustainable manufacturing (SM) broadly implies the development of innovative manufacturing sciences and technologies that span the life cycle of products and services to minimize negative environmental impacts, conserve energy and natural resources, are

Testing Interoperability Standards - A Test Case Generation Methodology

June 16, 2010
Author(s)
Nenad Ivezic, Jungyub Woo
Over many years, National Institute of Standards and Technology (NIST) built test beds to support interoperability standards development and their implementation within software applications. A general test framework has been proposed to enhance new test

Dark pulse quantum dot diode laser

June 7, 2010
Author(s)
Mingming M. Feng, Kevin L. Silverman, Richard P. Mirin, Steven T. Cundiff
We describe an operating regime for passively mode-locked quantum dot diode laser where the output consists of a train of dark pulses, i.e., intensity dips on a continuous background. We show that a dark pulse train is a solution to the master equation for

Cylindrical Acoustic Resonator for the Re-determination of the Boltzmann Constant

June 1, 2010
Author(s)
J.T. Zhang, H. Lin, X.J. Feng, Keith A. Gillis, Michael R. Moldover
We describe progress towards re-determining the Boltzmann constant k B using two fixed-path, gas-filled, cylindrical, acoustic cavity resonators. The longitudinal acoustic resonance modes of a cylindrical cavity have lower quality factors Q than the radial

Feasibility of an Accurate Dynamic Standard for Water Flow

June 1, 2010
Author(s)
Iosif I. Shinder, Michael R. Moldover
We used NIST's primary water flow standard to study the feasibility of accurately determining mass flow rates m dot of water "dynamically," that is from the time derivative of the weight W of the collection tank: m dot,dynamic = (dW/dt)/g. When data for a

Modeling and metrology of metallic nanowires with application to microwave interconnects

May 23, 2010
Author(s)
Kichul Kim, Thomas M. Wallis, Paul Rice, Chin J. Chiang, Atif A. Imtiaz, Pavel Kabos, Jintao Zhang
Abstract: Broadband characterization of individual metallic nanowires for microwave interconnect applications is discussed. Circuit and method of moments (MoM) modeling are benchmarked using a set of coplanar waveguide (CPW) test devices with microwire Au

A Virtual Machining Model for Sustainability Analysis

May 12, 2010
Author(s)
Guodong Shao, Deogratias Kibira, Kevin W. Lyons
Sustainability has become a very significant research topic since it impacts many different manufacturing industries. The adoption of sustainable manufacturing practices and technologies offers industry a cost effective route to improve economic
Displaying 1051 - 1075 of 2125